Godlike Productions - Discussion Forum
Users Online Now: 1,290 (Who's On?)Visitors Today: 312,030
Pageviews Today: 498,891Threads Today: 161Posts Today: 2,921
06:29 AM


Rate this Thread

Absolute BS Crap Reasonable Nice Amazing
 

The Answer lies in Cancer.

 
A R K

User ID: 75870864
Germany
11/19/2017 08:40 PM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
bump
~ Ad astra audacter eamus in alis fidelium ~

"Then the lion shall lie down with the lamb and the bear shall eat grass like the ox and the child shall play on the hole of the asp and nothing shall hurt nor destroy in all My Holy Mountain."

[Isaiah 11:6]

~ A R K
Anonymous Coward
User ID: 74993099
United Kingdom
12/30/2017 12:44 AM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
nikoli tesla 3 6 9.
Anonymous Coward
User ID: 75982897
United States
12/30/2017 12:59 AM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
Anonymous Coward
User ID: 73547352
Netherlands
12/30/2017 01:04 AM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
Swinging on Spirals was the avatar back then


You had to be there in 2012 to understand

It has NOTHING to do with cancer

It has to do with a clue about the poster above (his moods)

Read the OP's posts unless of course they were changed by now.
Anonymous Coward
User ID: 73547352
Netherlands
12/30/2017 01:07 AM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
Only on glp will you find 50 pages of schizophrenic rambling about the zodiac sign cancer
 Quoting: Anonymous Coward 73832187


It was a veiled warning but alas many got caught looking in all sorts of directions.
Anonymous Coward
User ID: 75982897
United States
12/30/2017 01:39 AM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.


















Ok I'll stop now...
the path

User ID: 76031642
Indonesia
12/31/2017 09:46 PM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
nikoli tesla 3 6 9.
 Quoting: Anonymous Coward 74993099

blue is violet, 4 7 is still 7 4 too
Gold(69) Silver(47) bridge
Green Need lots of rain (not hot/cold)
Cancer (also 47)
Cygnus (also Swan)
License for Celestial Navi
the cross of 69 and 47
produce the 13, 6+7 or 9+4
Anonymous Coward
User ID: 74993099
United Kingdom
12/31/2017 09:56 PM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
Swinging on Spirals was the avatar back then


You had to be there in 2012 to understand

It has NOTHING to do with cancer

It has to do with a clue about the poster above (his moods)

Read the OP's posts unless of course they were changed by now.
 Quoting: Anonymous Coward 73547352


which poster above?
Anonymous Coward
User ID: 74531828
United States
01/01/2018 01:01 PM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
hmm
gangstalked by superheroes
User ID: 75453047
Italy
01/01/2018 01:03 PM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
the only sun sign with a disease named after it
Anonymous Coward
User ID: 74531828
United States
01/01/2018 01:06 PM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
Anonymous Coward
User ID: 73740159
United States
01/01/2018 01:07 PM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
You mean Capricorn ?
Anonymous Coward
User ID: 73193883
United States
01/01/2018 01:09 PM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
Full Cancer moon in Capricorn. Both at 11 degrees. On 1/1/11 (2+0+1+8=11) Use it wisely.
the path

User ID: 75672690
Indonesia
01/01/2018 01:23 PM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
Full Cancer moon in Capricorn. Both at 11 degrees. On 1/1/11 (2+0+1+8=11) Use it wisely.
 Quoting: Anonymous Coward 73193883


hf
blue is violet, 4 7 is still 7 4 too
Gold(69) Silver(47) bridge
Green Need lots of rain (not hot/cold)
Cancer (also 47)
Cygnus (also Swan)
License for Celestial Navi
the cross of 69 and 47
produce the 13, 6+7 or 9+4
the path

User ID: 76066638
Indonesia
01/04/2018 01:00 AM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
the only sun sign with a disease named after it
 Quoting: gangstalked by superheroes 75453047

blue is violet, 4 7 is still 7 4 too
Gold(69) Silver(47) bridge
Green Need lots of rain (not hot/cold)
Cancer (also 47)
Cygnus (also Swan)
License for Celestial Navi
the cross of 69 and 47
produce the 13, 6+7 or 9+4
A R K

User ID: 76387807
Germany
03/23/2018 12:20 AM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
the only sun sign with a disease named after it
 Quoting: gangstalked by superheroes 75453047

 Quoting: the path


Look for the opposite meaning in everything..

Where do you hide the highest light?!

Cancer is not a normal disease, it's only a sign, you're living wrong.

Last Edited by A R K on 03/23/2018 12:20 AM
~ Ad astra audacter eamus in alis fidelium ~

"Then the lion shall lie down with the lamb and the bear shall eat grass like the ox and the child shall play on the hole of the asp and nothing shall hurt nor destroy in all My Holy Mountain."

[Isaiah 11:6]

~ A R K
the path

User ID: 76031642
Indonesia
03/28/2018 01:32 PM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
the only sun sign with a disease named after it
 Quoting: gangstalked by superheroes 75453047

 Quoting: the path


Look for the opposite meaning in everything..

Where do you hide the highest light?!

Cancer is not a normal disease, it's only a sign, you're living wrong.
 Quoting: A R K


hfhf
blue is violet, 4 7 is still 7 4 too
Gold(69) Silver(47) bridge
Green Need lots of rain (not hot/cold)
Cancer (also 47)
Cygnus (also Swan)
License for Celestial Navi
the cross of 69 and 47
produce the 13, 6+7 or 9+4
the path

User ID: 75672690
Indonesia
03/28/2018 10:50 PM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
where you can gain realization/understanding
by going through a cancer (Symbolic/Spiritual)
blue is violet, 4 7 is still 7 4 too
Gold(69) Silver(47) bridge
Green Need lots of rain (not hot/cold)
Cancer (also 47)
Cygnus (also Swan)
License for Celestial Navi
the cross of 69 and 47
produce the 13, 6+7 or 9+4
the path

User ID: 76431505
Indonesia
04/07/2018 10:28 AM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
She was so pregnant she dropped to the lowest point.
 Quoting: New Heart


She knew one of them would turn out good.
Just took a few tries to get the right one to show up.
Most of em came for the gold and money.

They came for the Gold, located with the Heart of the Solar System, called Earth.
That what was in their Hearts.
Power, Gold, and Wealth.

But when she laid that Golden Egg, she knew it would not be about that stuff.
Instead the One who Sprang forth, was a Golden Child.
His Heart was pure Gold.

What other had in their hearts, he was made of.
The very stuff they sought out and held at such high value, was who he was already.
Born perfect and without greed or sin in him.
Golden.

The weight of gold is his bday.
The surface area of the Earth is the measure of the weight of gold.
Both 1969.6

Since Earth is his Heart.
His Heart is made of Gold.


They came looking for gold, and found a golden man instead.

Ha..
Cant touch this suckers.
Im not for sale.
You all aint got enough money combined between you to buy me.
Incorruptable.
 Quoting: User 1 75022989


perfect .....
 Quoting: the path

blue is violet, 4 7 is still 7 4 too
Gold(69) Silver(47) bridge
Green Need lots of rain (not hot/cold)
Cancer (also 47)
Cygnus (also Swan)
License for Celestial Navi
the cross of 69 and 47
produce the 13, 6+7 or 9+4
Nazzy3

User ID: 76430679
United States
04/12/2018 05:15 AM

Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
By one day

Or is it really in between gemeni and cancer
 Quoting: Anonymous Coward 11383567


I am a gemini-cancer born 6/23 what does that mean?
the path to bs
User ID: 21011022
Italy
04/12/2018 05:17 AM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
By one day

Or is it really in between gemeni and cancer
 Quoting: Anonymous Coward 11383567


I am a gemini-cancer born 6/23 what does that mean?
 Quoting: Nazzy3

It means you're going to be rich and win the lottery.
the path

User ID: 76431606
Indonesia
04/12/2018 08:19 AM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
By one day

Or is it really in between gemeni and cancer
 Quoting: Anonymous Coward 11383567


I am a gemini-cancer born 6/23 what does that mean?
 Quoting: Nazzy3

It means you're going to be rich and win the lottery.
 Quoting: the path to bs 21011022



it is beautiful day ....
blue is violet, 4 7 is still 7 4 too
Gold(69) Silver(47) bridge
Green Need lots of rain (not hot/cold)
Cancer (also 47)
Cygnus (also Swan)
License for Celestial Navi
the cross of 69 and 47
produce the 13, 6+7 or 9+4
the path

User ID: 76431159
Indonesia
04/18/2018 11:59 PM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
By one day

Or is it really in between gemeni and cancer
 Quoting: Anonymous Coward 11383567


I am a gemini-cancer born 6/23 what does that mean?
 Quoting: Nazzy3


it is better if it is into 4 7 (dd mm system) = cancer too
blue is violet, 4 7 is still 7 4 too
Gold(69) Silver(47) bridge
Green Need lots of rain (not hot/cold)
Cancer (also 47)
Cygnus (also Swan)
License for Celestial Navi
the cross of 69 and 47
produce the 13, 6+7 or 9+4
Anonymous Coward
User ID: 76495683
Australia
04/26/2018 01:19 PM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
You mean Capricorn ?
 Quoting: Anonymous Coward 73740159
the path

User ID: 76532625
Indonesia
05/01/2018 07:19 AM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
You mean Capricorn ?
 Quoting: Anonymous Coward 73740159

 Quoting: Anonymous Coward 76495683


thread title
"answer lies in cancer"

69 = symbol of yin tang = also just like cancer sign too
47 = cancer date in dd mm system
74 = cancer date in mm dd system

47 + 11 + (4+7) = 69 too
blue is violet, 4 7 is still 7 4 too
Gold(69) Silver(47) bridge
Green Need lots of rain (not hot/cold)
Cancer (also 47)
Cygnus (also Swan)
License for Celestial Navi
the cross of 69 and 47
produce the 13, 6+7 or 9+4
Anonymous Coward
User ID: 76400434
United Kingdom
05/01/2018 07:57 AM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
Ceres is moving slowly out of Cancer, having been there for a good few weeks at least. She did a very interesting dance, retrograded and will be out in a few days.

Ceres is the goddess of peace and reminds me of the girl in IPGII waving a white flag while the yin yang sign appears on the ground.

I just saw the Moana song posted above. That is very interesting in light of girl and giant crab. It clearly has some similarity to IPGII.

The royal arch of freemasonry which Groxt often shows on his videos shows a cornucopia, under the arches, which is an object that Ceres holds in famous paintings of her.

The royal arch also has cancer as it's cornerstone, the moon in Virgo and and the sun in Taurus. Moon is just moving out of Libra but I did read somewhere that Libra was originally part of Virgo; as it's the only inanimate constellation, it's quite possible that the ancient constellation lines were drawn differently.

Taurus is the current astronomical sign.
DepthResonance
User ID: 68386990
United States
05/01/2018 08:17 AM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
You mean Capricorn ?
 Quoting: Anonymous Coward 73740159

 Quoting: Anonymous Coward 76495683


thread title
"answer lies in cancer"

69 = symbol of yin tang = also just like cancer sign too
47 = cancer date in dd mm system
74 = cancer date in mm dd system

47 + 11 + (4+7) = 69 too
 Quoting: the path

PatientPersistence
User ID: 68386990
United States
05/01/2018 08:20 AM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
You mean Capricorn ?
 Quoting: Anonymous Coward 73740159

 Quoting: Anonymous Coward 76495683


thread title
"answer lies in cancer"

69 = symbol of yin tang = also just like cancer sign too
47 = cancer date in dd mm system
74 = cancer date in mm dd system

47 + 11 + (4+7) = 69 too
 Quoting: the path


 Quoting: DepthResonance 68386990


Surprise @ 1:05
Anonymous Coward
User ID: 76564420
Indonesia
05/13/2018 10:59 AM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
...

 Quoting: Anonymous Coward 76495683


thread title
"answer lies in cancer"

69 = symbol of yin tang = also just like cancer sign too
47 = cancer date in dd mm system
74 = cancer date in mm dd system

47 + 11 + (4+7) = 69 too
 Quoting: the path


 Quoting: DepthResonance 68386990


Surprise @ 1:05
 Quoting: PatientPersistence 68386990


video is deleted
Anonymous Coward
User ID: 74630754
France
05/13/2018 11:01 AM
Report Abusive Post
Report Copyright Violation
Re: The Answer lies in Cancer.
...


thread title
"answer lies in cancer"

69 = symbol of yin tang = also just like cancer sign too
47 = cancer date in dd mm system
74 = cancer date in mm dd system

47 + 11 + (4+7) = 69 too
 Quoting: the path


 Quoting: DepthResonance 68386990


Surprise @ 1:05
 Quoting: PatientPersistence 68386990


video is deleted
 Quoting: Anonymous Coward 76564420


hihihi...No Video is on Youtube Red Only Available Via Us Proxy hf





GLP